Адміністрація вирішила продати даний сайт. За детальною інформацією звертайтесь за адресою: rozrahu@gmail.com

Моделювання інерційної та транспортної затримок часу

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
Не вказано
Факультет:
Не вказано
Кафедра:
Кафедра ЕОМ

Інформація про роботу

Рік:
2011
Тип роботи:
Звіт про виконання лабораторної роботи
Предмет:
Моделювання
Група:
КІ-22

Частина тексту файла

Міністерство Освіти і Науки Національний Університет «Львівська політехніка» кафедра ЕОМ Звіт про виконання лабораторної роботи №3 з предмету «Моделювання комп’ютерних систем» на тему : «Моделювання інерційної та транспортної затримок часу» Мета роботи: Навчитися описувати інерційну та транспортну затримки часу за допомогою Active-HDL, з'ясувати принципові відмінності між ними. Визначення : Інерційна затримка зустрічається майже в усіх сучасних схемах, тому для VHDL вона використовується за замовчуванням. Властивість цієї затримки : при надходження до елемента імпульсів , інтервал між якими був менший за час затримки , другий сигнал проігнорується. Транспортна затримка відрізняється інерційної тим, що при надходженні двох сигналів до певного елемента – другий сигнал ігноруватись не буде. На рис.1 – принципова схема включення логічних елементів. / Опис схеми у VHDL – коді: library IEEE; use IEEE.STD_LOGIC_1164.all; entity sxem is port( --X0 : in STD_LOGIC; --X1 : in STD_LOGIC; --X2 : in STD_LOGIC; X : in std_logic_vector (2 downto 0); Y : out STD_LOGIC ); end sxem; architecture func of sxem is signal A : std_logic ; signal B : std_logic ; signal C : std_logic ; signal D : std_logic ; signal E : std_logic ; signal F : std_logic ; begin process (x) begin A <= Not(X(0)) after 5 ns; B <=A or X(1) after 15ns; C <= A or X(2) after 10ns ; D <= B and C after 25ns; E <= X(2) xor C after 25ns; F <= B xor D after 35ns; Y <= F and E after 45ns; end process; end func; Результат моделювання при тривалості сигналів більшої за інерційну затримку(70ns) - на рис.№1 / Рис.№1 Результат моделювання при тривалості сигналів меншої за інерційну затримку(40 ns) - на рис.№2 / Рис.№2 Результат моделювання при тривалості сигналів більшої за транспортну затримку(100 ns) - на рис.№3 / Рис.№3 Результат моделювання при тривалості сигналів меншої за транспортну затримку(40 ns) - на рис.№4 / Рис.№4 Висновок : ми ознайомились з поняттями інерційна та транспортна затримки, з’ясували відмінності між ними.
Антиботан аватар за замовчуванням

25.11.2012 18:11

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини